About Applied Materials Inc

Applied Materials, Inc. (Applied) operates as a global company with a broad set of capabilities in materials engineering. The company provides manufacturing equipment, services and software to the semiconductor, display and related industries. With its diverse technology capabilities, the company delivers products and services that improve device performance power. The company's customers include manufacturers of semiconductor chips, liquid crystal and organic light-emitting diode (OLED) displays, and other electronic devices. These customers may use what they manufacture in their own end products or sell the items to other companies for use in electronic products. Segments The company operates through three segments: Semiconductor Systems, Applied Global Services, and Display and Adjacent Markets. Semiconductor Systems segment Semiconductor Systems segment develops, manufactures and sells a wide range of manufacturing equipment used to fabricate semiconductor chips, also referred to as integrated circuits (ICs). The Semiconductor Systems segment includes semiconductor capital equipment used for many steps of the chip making process including the transfer of patterns into device structures, transistor and interconnect fabrication, metrology, inspection and review, and packaging technologies for connecting finished IC die. The company's patterning systems and technologies address challenges resulting from shrinking pattern dimensions and the growing complexity in vertical stacking found in today's most advanced semiconductor devices. The company's transistor and interconnect products and technologies enable continued power and performance improvements of 3D transistors. The company's metrology, inspection and review systems' imaging capabilities and algorithms employ optical and e-beam technologies to meet the most advanced technical demands in areas including self-aligned double and quad patterning, extreme ultraviolet layers, measurement-intensive optimal proximity correction mask qualification, and new 3D architectures. The company's packaging technologies address challenges resulting from the increasing heterogeneous integration of multiple IC dies in a single package. The company delivers leading-edge capabilities that enable chipmakers to establish accurate statistical process control, ramp up production runs rapidly, and achieve consistently high production yields. The company also provides manufacturing equipment that helps improve performance, power, and yield of semiconductor devices that use mature process technologies and serve specialty markets, such as the Internet of Things, Communications, Automotive, Power and Sensors. The company's Semiconductor Systems equipment is sold to integrated device manufacturers and foundries worldwide. Semiconductor Systems Technologies Epitaxy: pitaxy (or epi) is a technique for growing silicon (e.g. silicon with another element) as a uniform crystalline structure on a wafer to form high quality material for the device circuity. Epi technology is used in device transistors to enhance chip speed. Ion Implant: Ion implantation is a key technology for forming transistors and is used many times during chip fabrication. During ion implantation, wafers are bombarded by a beam of electrically-charged ions, called dopants, which can change the electrical properties of the exposed semiconductor material. Oxidation/Nitridation: These systems provide critical oxidation steps - like memory gate oxide, shallow trench isolation and liner oxide - for advanced device scaling. Rapid Thermal Processing (RTP): RTP is used primarily for annealing, which modifies the properties of deposited films. Single-wafer RTP systems are also used for growing high-quality oxide and oxynitride films. Physical Vapor Deposition (PVD): PVD is used to deposit high quality metal films. Applications include metal gate, silicides, contact liner/barrier, interconnect copper barrier seed and metal hard mask. Chemical Vapor Deposition (CVD): CVD is used to deposit dielectric and metal films on a wafer. During the CVD process, gases that contain atoms of the material to be deposited react on the wafer surface, forming a thin film of solid material. Chemical Mechanical Planarization (CMP): CMP is used to planarize a wafer surface, a process that allows subsequent photolithography patterning and material deposition steps to occur with greater accuracy, resulting in more uniform film layers with minimal thickness variations. Electrochemical Deposition (ECD): ECD is a process by which metal atoms from a chemical fluid (an electrolyte) are deposited on the surface of an immersed object. Atomic Layer Deposition (ALD): ALD technology enables ultra thin film growth of either a conducting or insulating material with uniform coverage in nanometer-sized structures. Etch: Etching is used many times throughout the IC manufacturing process to selectively remove material from the surface of a wafer. We offer systems for etching dielectric, metal, and silicon films to meet the requirements of advanced processing. Selective Processing (Deposition and Removal): Selective processing uses specially co-designed chemical and materials interactions to enable delicate and precise deposition and removal of target materials. Metrology and Inspection: Metrology and inspection tools are used to locate, measure, and analyze defects and features on the wafer during various stages of the fabrication processes. The company enables customers to characterize and control critical dimension (CD) and defect issues, especially at advanced generation technology nodes. Applied Global Services segment The Applied Global Services (AGS) segment provides integrated solutions to optimize equipment and fab performance and productivity, including spares, upgrades, services, remanufactured earlier generation equipment and factory automation software for semiconductor, display and other products. Customer demand for products and services is fulfilled through a global distribution system in more than 195 locations and trained service engineers located in close proximity to customer sites to support over 52,000 installed Applied semiconductor, display and other manufacturing systems worldwide. The company offers the following general types of services and products under the Applied Global Services segment. GS Solutions and Technology Technology-Enabled Services: A comprehensive service product portfolio that combines service technology and tool specific performance commitments in order to optimize customer factory productivity. Fab Consulting: Experts using advanced analytical tools to solve production problems that have the greatest impact on customer fab productivity. Supply Chain Assurance Programs: Spare parts product portfolio offers options to balance inventory, cost and risk to efficiently meet fab requirements. Subfab Equipment: These solutions save energy, reduce environmental impact, and meet Environmental Protection Agency reporting regulations for greenhouse gas emissions. Legacy Equipment and Upgrades: Comprehensive 200mm equipment and upgrades portfolio to address a full spectrum of production needs and extend tool lifetime. The company's 200mm equipment supports market inflections and new technology for a broad variety of devices, including analog, power, and MEMS. Automation Software: The company's SmartFactory automation software portfolio coordinates and streamlines every aspect of a factory (the processes, equipment and people) to provide competitive advantage to customers. Display and Adjacent Markets segment The Display and Adjacent Markets segment consists primarily of products for manufacturing liquid crystal displays (LCDs), organic light-emitting diodes (OLEDs), and other display technologies for TVs, monitors, laptops, personal computers (PCs), electronic tablets, smart phones, and other consumer-oriented devices. While similarities exist between the technologies utilized in semiconductor and display fabrication, the most significant differences are in the size and composition of the substrate. Substrates used to manufacture display panels and other devices are typically glass, although newer flexible materials are entering the market. Display and Adjacent Markets segment growth depends primarily on consumer demand for increasingly larger and more advanced TVs and high-resolution displays for mobile devices, as well as new form factors, including thin, light, curved and flexible displays, and new applications, such as augmented and virtual reality. In addition to display applications, the segment's Chemical Vapor Deposition (CVD) technology is used to manufacture solar energy cells. The Display and Adjacent Markets segment offers a variety of technologies and products, including: Display and Adjacent Markets Technologies Array Test: LCD display substrates are inspected at many stages of production to maximize yield, minimize scrap, optimize equipment utilization, and monitor manufacturing processes. At the completion of the array stage, the performance of the millions of individual pixels on each display is tested. Defect Review: Defects are identified during inspection steps and reviewed by a scanning electron microscope and other analyses to determine defect root cause and composition. Chemical Vapor Deposition (CVD): During CVD processing, gases containing atoms or molecules are introduced into the process chamber. The gases form reactive radicals or ions, which undergo chemical reactions to form thin films on the heated substrate. Physical Vapor Deposition (PVD): PVD is used to deposit high quality films of metals, alloys, transparent conductors and semiconductors. In Display, these films are used for contact, interconnect, transparent electrodes and transistor materials in TFT-LCD and OLED display backplanes, as well as for transparent electrodes in color filters and touch panels. Marketing and Sales Because of the highly technical nature of its products, the company markets and sells products worldwide almost entirely through a direct sales force. The company has operations in many countries, with some of its business activities concentrated in certain geographic areas, and global and regional economic and political conditions can impact its business and financial results. The company's business is based on capital equipment investments by major semiconductor, display and other manufacturers, and is subject to significant variability in customer demand for its products. Customers' expenditures depend on many factors, including general economic conditions; anticipated market demand and pricing for semiconductors, display technologies and other electronic devices; the development of new technologies; customers' factory utilization; capital resources and financing; trade policies and export regulations; and government incentives. In addition, a significant driver in the semiconductor and display industries has been end-demand for mobile consumer products, which has been characterized by seasonality that impacts the timing of customer investments in manufacturing equipment and, in turn, the company's business. The following companies accounted for at least 10 percent of the company's net sales in each fiscal year (year ended October 29, 2023), which were for products and services in multiple reportable segments included Samsung Electronics Co., Ltd.; Taiwan Semiconductor Manufacturing Company Limited; and Intel Corporation. Patents and Licenses The company has more than 19,600 active patents in the United States and other countries, and additional applications are pending for new inventions. History Applied Materials, Inc. was founded in 1967. The company was incorporated in 1967 as a Delaware corporation.

Country
Industry:
Special Industry Machinery, not elsewhere classified
Founded:
1967
IPO Date:
12/14/1972
ISIN Number:
I_US0382221051
Address:
3050 Bowers Avenue, P.O. Box 58039, Santa Clara, California, 95052-8039, United States
Phone Number
408 727 5555

Key Executives

CEO:
Dickerson, Gary
CFO
Hill, Brice
COO:
Data Unavailable