About Entegris

Entegris, Inc. (Entegris) is a leading supplier of mission-critical advanced materials and process solutions for the semiconductor and other high-technology industries. Segments The company operates through three segments: Materials Solutions (MS); Microcontamination Control (MC); and Advanced Materials Handling (AMH). Materials Solutions segment The Materials Solutions segment, or MS, provides materials-based solutions, such as chemical mechanical planarization (CMP) slurries and pads, deposition materials, process chemistries and gases, formulated cleans, etchants and other specialty materials that enable the company’s customers to achieve better device performance and faster time to yield. The MS segment is the segment resulting from combining the Advanced Planarization Solutions (APS) segment and the Specialty Chemicals and Engineered Materials (SCEM) segment, both of which were unit-driven and offered highly complementary products. MS provides end-to-end materials solutions around the primary modules in the semiconductor manufacturing process and in the emerging area of advanced packaging. These modules include integrated circuit chemical mechanical polishing solutions, high-performance etch and clean chemistries, gases and materials, and safe and efficient materials delivery systems that enhance the company’s customers’ product performance. The company’s ability to deliver advanced materials at high purity, together with critical products like CMP slurries and pads, enables its customers’ technical roadmap, improves device performance, enhances their yields and is critical to enabling the performance of leading-edge logic and memory devices. The MS segment partners closely with the company’s other two segments to create solutions for its customers across various processes and modules. For example, the MS segment leverages the expertise of the AMH segment to ensure that its products and solutions are transported, delivered and monitored in a way that ensures maximum purity and stability. In addition, as products, such as CMP slurries and cleans require advanced filtration both in manufacturing and at the point of use in the semiconductor manufacturing environment, the MS segment collaborates with the company’s MC segment to optimize its products and processes in order to achieve industry-leading purity levels and maximize yield. Deposition and Etch Solutions: The company offers the following Deposition and Etch Solutions products: Advanced Deposition Materials Products: The company’s advanced deposition materials include advanced liquid, gaseous and solid precursors, including organometallic precursors for the deposition of tungsten, titanium, cobalt, aluminum, molybdenum and other emerging metal films and organosilane precursors for the deposition of silicon oxide, silicon nitride and advanced dielectric materials films. These precursors are designed in close collaboration with OEM process tool manufacturers and device makers to produce application specific solutions that are compatible with complex integrations of material solutions used to build the semiconductor device. The company offers delivery systems and containers that allow for reliable storage and delivery of low volatility solid and liquid precursors required in atomic layer deposition processes. When combined with its proprietary corrosion-resistant coatings and filtration solutions from its MC segment. Surface Preparation and Integration Products: The company offers a range of materials used to prepare the surface of a semiconductor wafer during the manufacturing process and to integrate with materials being used on the wafer. The company offers a broad range of cleaning solutions for applications, such as semiconductor post-etch residue removal, wafer etching, organics removal, negative resist removal, edge bead removal and corrosion prevention. In addition, the company offers selective etch products designed to enable advanced architectures such as 3D-NAND. The company’s wet chemistry solutions, combined with filtration solutions from its MC segment and fluid handling solutions from its AMH segment, are designed to provide enhanced purity, which results in improvements in its customers’ processes. Advanced Cleaning Materials: The company develops and manufactures high-performance consumable products for cleaning advanced probe cards and test sockets at semiconductor manufacturing facilities. These engineered polymer solutions are designed to improve customer yields and throughput in wafer and package test operations at semiconductor device manufacturers, foundries, and outsourced semiconductor assembly and test (OSAT) facilities. The company also designs innovative polymer products for semiconductor fabs that improve front-end tool uptime and reduce operating costs. Dry Process Solutions: The company offers the following Dry Process Solutions products: Specialty Gases: The company’s specialty gas solutions provide advanced safety and process capabilities to semiconductor, display and solar panel manufacturers. The company’s SDS cylinders safely store and deliver hazardous gases, such as arsine, phosphine, germanium tetrafluoride and boron trifluoride, at sub-atmospheric pressure through the use of its proprietary carbon-based adsorbent materials. These products are designed to minimize potential leaks during transportation and use and allow more gas to be stored in the cylinder. These features provide significant safety, environmental and productivity benefits over traditional high-pressure cylinders. The company also offers VAC, a complementary technology to SDS, where select implant gases and gas mixtures are stored under high pressure but are delivered sub-atmospherically. Specialty Materials: The company’s high-performance specialty coatings, such as its Pegasus and Cearus coatings, provide erosion resistance, minimize particle generation and prevent contamination on critical components in semiconductor environments and other high-technology manufacturing operations. The company’s specialty materials provide customized solutions for applications challenged with unique temperature, corrosive, chemical or process environments, such as electrostatic chucks used to hold wafers during processing. Integrated Circuits (IC) Polishing Solutions: The company’s IC Polishing Solutions enables it to fully leverage its capabilities as a CMP solutions provider to the semiconductor industry by providing the following products: CMP Slurries: The company develops, produces, and sells CMP slurries for polishing a wide range of materials used in semiconductor devices, including tungsten, dielectric materials, copper, barrier, aluminum, and other emerging materials used in semiconductor device fabrication. The company is uniquely positioned to be able to develop and optimize new slurries that can be utilized on emerging materials used in semiconductor device fabrication, such as molybdenum and ruthenium. CMP Pads: CMP pads are critical in the CMP process to flatten and polish wafers and can have a significant impact on process performance. The company’s CMP Pads, such as its NexPlanar, Medea and Ultra pad products are designed to provide the exact hardness, pore sizes, compressibility, and groove patterns needed to meet and exceed the requirements of various CMP applications. The company’s Epic Power CMP Pads are designed for SiC wafers. Post-CMP Cleans: The company’s post-CMP clean chemistry products, such as PlanarClean and ESC 784, are designed to efficiently remove the abrasive slurry particles and organic residue from the wafer after the CMP process, removing residue that might affect yield while not contributing to contamination. In addition, the company’s consumable polyvinyl alcohol roller brush products are used to clean the wafer following the CMP process. Advanced Materials Markets (AMM): AMM focuses on developing and selling products to customers in new and emerging market areas outside of the semiconductor manufacturing process. AMM includes the company’s POCO premium graphite products, used to make precision consumable electrodes for electrical discharge machining, hot glass contact materials for glass product manufacturing and forming and other consumable products for various industrial applications, including aerospace, optical, medical devices, air bearings and printing. It also includes the company’s slurry products used for polishing bare silicon wafers and other ultra-hard surface materials, including SiC and GaN substrates, as well as disk substrates and magnetic heads used in hard disk drives, which are utilized in power electronics and advanced communications end-markets. AMM also provides specialty chemicals and specialty materials to enable advanced performance of product solutions in a wide range of end markets, including aircraft, aerospace, wound care and medical devices. In addition, the company’s PIM business, which consists of drag reducing agents, valve greases, cleaners and sealants, and related equipment supporting pipeline and adjacent industries, reports into its MS segment. Microcontamination Control segment The Microcontamination Control segment, or MC, offers advanced solutions that improve customers’ yield, device reliability and cost by filtering and purifying critical liquid chemistries and gases used in semiconductor manufacturing processes and other high-technology industries. The MC segment offers solutions to purify critical liquid chemistries and process gases used in semiconductor manufacturing processes and other high-technology industries. The company’s liquid and gas filtration and purification products are critical to the semiconductor manufacturing process because they remove contamination, directly reduce defects, improve manufacturing yield and enhance the long-term reliability of the semiconductor device. The company’s proprietary filters remove organic and inorganic nanometer-sized contaminants from various fluids and gases used in the manufacturing process, including photolithography, deposition, planarization and surface etching and cleaning. The company utilizes expertise from the AMH segment in polymer science and from the MS segment in formulated cleaning chemistries and in slurry formulation to develop differentiated filtration and purification solutions for its customers. Liquid Microcontamination Control Products: The company offers a variety of products that control contaminants in its customers’ wet processes both in the fab environment and upstream at the chemical manufacturers. For example, the company’s Torrento series of filters is used for the filtration of aggressive acid and base chemistries for both semiconductor fabs, as well as specialty chemical manufacturers, including its MS segment. Manufacturers of high purity chemicals and semiconductor fabs use the company’s Trinzik and Microgard products for the filtration of chemicals and ultra-pure water. The company’s Impact series of filters are used in point-of-use photochemical dispense applications, including those provided by its AMH segment, where the delivery of superior flow rate performance and reduced microbubble formation is critical. The company’s Protego series of liquid purifier/filter products are used to reduce metallic contamination in chemical manufacturing and in critical wafer rinsing and drying applications by its customers. In addition, the company provides membrane and liquid filtration offerings serving semiconductor, pharmaceutical and medical applications. Gas Microcontamination Control Products: The company offers a broad portfolio of products designed to remove particulate and molecular contaminants from controlled environments and gas streams in semiconductor, flat panel display and LED fabs. The company’s Wafergard gas filters reduce outgassing and remove particle contamination. The company’s GateKeeper gas purifiers and large facility-wide gas purification systems provide continuous purified gas supply to customer fabs from the point of creation on the gas pads to the point-of-use at the wafer by chemically reacting and absorbing contaminants, effectively removing gaseous contaminants down to part-per-trillion levels. The company’s Chambergard gas diffusers provide semiconductor equipment manufacturers with the capability to rapidly vent their tools to atmosphere to dramatically reduce process cycle times without adding particles to the wafers. In addition, the company’s Vaporsorb products are used to eliminate airborne molecular contamination from critical process tool areas or cleanrooms in the fab. These products are used in or alongside critical processing tools to improve yield and reduce tool downtime. Advanced Materials Handling segment The Advanced Materials Handling segment, or AMH, develops solutions that improve customers’ yields by protecting critical materials during manufacturing, transportation, and storage, including products that monitor, protect, transport and deliver critical liquid chemistries, wafers, and other substrates for a broad set of applications in the semiconductor, life sciences and other high-technology industries. The AMH segment develops solutions to monitor, protect, transport and deliver critical liquid chemistries, wafers and substrates for a broad set of applications in the semiconductor and other high-technology industries. These systems and products improve the company’s customers’ yields by protecting wafers from abrasion, degradation and contamination during manufacturing and transportation and by assuring the consistent, clean and safe delivery of advanced chemicals from the chemical manufacturer to the point-of-use in the semiconductor fab. The AMH segment collaborates closely with the company’s MS segment in developing products that are compatible with advanced chemistries to enhance yields and integrates liquid filtration technology to deliver consistent and pure chemistry. Microenvironment Solutions: The company’s high-volume line of Ultrapak products for wafers ranging from 100 to 200 millimeters ensure the clean and secure transport of wafers from the wafer manufacturers to the semiconductor fabs. The company also offers a front-opening shipping box (FOSB) for the transportation and automated interface of 300 millimeter wafers. The company’s lead the market for 300 millimeter front-opening unified pods (FOUPs), wafer transport and process carriers and standard mechanical interface pods (SMIF pods) for 200 millimeter wafer applications. The company is a leader in reticle protection products for photolithography, including products that protect the high-value EUV lithography masks during both the mask manufacturing process and their use in the semiconductor fab. Fluid Management Products: The company’s broad portfolio of packaging and container products, from low-volume containers to transport high-value photoresist chemistries, such as its NOWPak products, to large intermediate bulk containers, such as its FluoroPure products, ensures the purity of the chemistries they contain. The company is a leader in high-purity fluid handling products, such as valves, fittings, tubing, piping and associated connection systems, such as its PrimeLock connections, for high-purity chemical applications. The company’s proprietary digital flow control technology improves the uniformity of chemicals applied on wafers. For example, the company’s IntelliGen integrated, high-precision liquid dispense systems enable the uniform application of advanced chemistries during the wafer fabrication process, integrating its valve control expertise with filter device technologies from its MC segment, in order to conserve high-value chemistry and reduce defects on wafers. Further, the company provides market-leading instrumentation solutions to ensure consistency and monitoring of complex blended chemistries, such as its on-tool Accusizer system, which performs automated online particle size and count analysis with applications in both semiconductor and life science industries, and its SemiChem systems and its Invue products, which measure chemical concentration in CMP slurries and formulated cleaning chemistries. For example, the company can develop and provide complementary offerings solving customers’ complex manufacturing challenges across the deposition, CMP process and post-CMP modules with co-optimized products from each of its divisions, such as advanced deposition materials, CMP slurries, pads and post-CMP cleaning chemistries from its MS segment, CMP slurry filters from its MC segment, and CMP slurry high-purity packaging and fluid monitoring systems from its AMH segment. Customers and Markets The company’s customers include logic and memory semiconductor device manufacturers, semiconductor equipment makers, gas and chemical manufacturing companies and wafer grower companies serving the global semiconductor industry. The company also sells its products to outsourced semiconductor assembly and test (OSAT) facilities, flat panel display equipment makers, panel manufacturers, manufacturers of hard disk drive components and devices and their related ecosystems. The company’s other high-technology markets include manufacturers and suppliers in the solar and life science industries, electrical discharge machining customers, glass and glass container manufacturers, aerospace manufacturers and manufacturers of biomedical implantation devices. Divestitures On March 1, 2023, the company completed the sale of QED Technologies International, Inc. (QED), which became part of the company with the acquisition of CMC Materials. On October 2, 2023, the company completed the sale of its Electronic Chemicals (EC) business to FUJIFILM Holdings America Corporation (Fujifilm). Sales, Marketing and Support The company sells its products worldwide, primarily through its direct sales force and strategic independent distributors located in all major semiconductor markets. The company also uses independent distributors in other market territories and for specific market segments. Engineering, Research and Development Expenses (ER&D) The company’s ER&D expenses consist of expenses for the support of current product lines and the development of new products and manufacturing technologies. These expenses were $277.3 million in 2023. Patents and Other Intellectual Property Rights As of December 31, 2023, the company owned approximately 4,400 active patents worldwide, of which about 815 were U.S. patents. Additionally, the company owned about 2,200 pending patent applications globally. The company also licenses certain patents owned by third parties. History Entegris, Inc. was founded in 1966. The company was incorporated in Delaware in 2005.

Country
Industry:
Special Industry Machinery, not elsewhere classified
Founded:
1966
IPO Date:
07/11/2000
ISIN Number:
I_US29362U1043
Address:
129 Concord Road, Billerica, Massachusetts, 01821, United States
Phone Number
978 436 6500

Key Executives

CEO:
Loy, Bertrand
CFO
LaGorga, Linda
COO:
Data Unavailable