About ASML Holding N.V.

ASML Holding NV (ASML) engages in the development, production, marketing, sales, upgrading and servicing of advanced semiconductor equipment systems, consisting of lithography, metrology and inspection systems. ASML is a leading supplier to the semiconductor industry. The company provides chipmakers with hardware, software and services to mass produce the patterns of integrated circuits (microchips). Together with its partners, ASML drives the advancement of more affordable, more powerful and more energy-efficient microchips. ASML’s principal operations are in EMEA, North America and Asia. The company generates revenue from the sale of integrated patterning solutions for the semiconductor industry, which mainly consist of systems, system-related options and upgrades, other holistic lithography solutions and customer services. The main portion of the company’s net sales is derived from volume purchase agreements with the company’s customers that have multiple performance obligations, which mainly include the sales of the company’s systems, system-related options, installation, training and extended and enhanced warranties. In the company’s volume purchase agreements the company offers customers discounts in the normal course of sales negotiations. As part of these volume purchases agreements, the company may also offer free goods or services and credits that can be used towards future purchases. Occasionally, systems, with the related extended and enhanced warranties, installation and training services, are ordered individually. The company’s sales agreements do not include a right of return for any reason other than not meeting the agreed upon specifications. At ASML, the company designs and integrates lithography systems with computational tools, metrology and inspection systems, and process control software solutions. This holistic approach to lithography provides chipmakers with support and solutions at every stage of the chipmaking process, from early design and development to high-volume production. Products and Services Extreme ultraviolet (EUV) Lithography Systems Using extreme ultraviolet (EUV) light at a wavelength of 13.5 nm, the company’s EUV lithography systems make it possible to print the smallest features on microchips at the highest density. EUV systems are used for the most intricate, critical layers on the most advanced microchips. ASML is the world’s only manufacturer of EUV lithography systems. EUV 0.33 NA (NXE platform) EUV lithography uses light with a wavelength of just 13.5 nm. This enables EUV systems to print the finest lines and allows the company’s customers to use them in a more simplified process compared to complex multiple-patterning strategies using DUV immersion systems. The company’s NXE EUV platform, with an NA of 0.33, was first introduced to customers in 2013 and is now widely adopted in high-volume manufacturing by the company’s major customers. The platform is now in its seventh generation and the company continues to industrialize the technology, innovating further developments to create value for customers. In June 2023, the company celebrated the 100th shipment of the TWINSCAN NXE:3600D, the company’s latest-generation EUV 0.33 NA lithography system. It combines the highest resolution with 15-20% increased productivity and around 30% better overlay compared with its predecessor, the TWINSCAN NXE:3400C, while also improving system availability. The company’s EUV product roadmap is intended to drive affordable scaling to 2030 and beyond. The company’s EUV NXE platform extends the company’s customers’ Logic and Memory roadmaps by delivering improvements in resolution, productivity and overlay (layer-to-layer alignment) performance, enabling year-on-year cost reductions. EUV 0.55 NA (EXE platform) The company is building the next platform of EUV lithography systems and the company shipped the first modules intended for R&D purposes in 2023. With a higher NA of 0.55 compared with the 0.33 NA of the company’s first EUV systems, these High NA systems are designed to enable higher-resolution patterning for even smaller transistor features. This is an evolutionary step in EUV technology, introducing a novel optics design and significantly faster reticle and wafer stages. In addition, the EUV 0.55 NA (EXE) platform has been designed to maximize commonality with the EUV NXE platform to drive cost reductions, speed up the development of new solutions and optimize future reuse. Deep Ultraviolet (DUV) Lithography Systems Supporting numerous market segments, the company offers immersion, as well as dry lithography systems, and a range of light sources to offer all wavelengths used in the semiconductor industry – argon fluoride (ArF) for 193 nm wavelength, krypton fluoride (KrF) for 248 nm and mercury gas discharge lamp (i-line) for 365 nm. The company’s systems lead the industry in productivity, imaging and overlay performance to help manufacture a broad range of semiconductor nodes and technologies, and support the industry’s cost- and energy-efficient scaling. Immersion systems (NXTi platform) The company’s immersion systems are suitable for both single-exposure and multiple-patterning lithography, and can be used in seamless combination with EUV systems to print different layers of the same chip. The company’s latest immersion system is the TWINSCAN NXT:2100i, launched in the third quarter of 2022. Alongside intrinsic improvements to lens metrology, reticle conditioning and wafer table, as well as overall cross-matching improvements, the NXT:2100i features innovations, such as the Alignment Optimizer 12 Color package. The system delivers 295-wafers-per-hour (wph) productivity combined with unprecedented overlay performance, providing the most cost-efficient solution to customers for critical immersion layers on the sub 3 nm nodes. Dry systems (NXT and XT platform) The company’s dry systems product portfolio offers the company’s customers more cost-effective solutions for all types of wavelengths. The company’s TWINSCAN NXT:1470 dual-stage ArF system continues to be adopted by the majority of Logic and Memory customers and has been inserted in high-volume manufacturing processes. It is the first dry NXT system, building on the common immersion platform, with improvements in matched machine overlay (<4.0 nm), productivity (>300 wph) and footprint. The TWINSCAN NXT:870 248 nm step-and-scan system is a high-productivity, dual-stage KrF lithography tool designed for high-volume 300 mm wafer production at and above-110-nm resolution. The system increases productivity from the 260 wph capability of the XT:860N to 330 wph through the use of the NXT platform, a higher scan speed and reduced system overhead time.The TWINSCAN XT:400L is the company’s latest i-line lithography system, which can print features down to a resolution of 220 nm for 200 mm and 300 mm wafer production. The company continues to innovate in productivity, cost of ownership and performance across the company’s TWINSCAN XT product lines (ArF, KrF and i-line) for 200 mm and 300 mm wafer sizes. Metrology and Inspections Systems The company’s metrology and inspection systems allow chipmakers to measure the patterns that they print on the wafer to see how well they match the intended pattern. The company’s portfolio enables chipmakers to monitor most steps of bringing a chip to market, from R&D to mass production. The systems are a key element of the company’s holistic approach to lithography. They produce data at the speed and accuracy needed during high-volume manufacturing to enable the company’s process control software solutions to create automated feedback control loops. This optimizes the lithography system settings for each exposure to reduce EPE, enlarging the process window to achieve the highest yield and best performance in a fab environment. Optical Metrology The company’s YieldStar optical metrology systems allow chipmakers to assess the quality of patterns on the wafer in volume production, through fast and accurate overlay measurements. The company offers two categories of YieldStar systems for use before and after ‘etching’ (the stage when the material in any open spaces is removed to reveal the 3D version of the patterns on the wafer). Pre-etch metrology measures the overlay and focus of the lithography system and the pattern printed on the photoresist. Post-etch metrology measures the overlay and critical dimensions of the final patterns formed on the wafer. In 2023, the company shipped the YieldStar 500, the company’s latest optical overlay and focus metrology tool, designed to be the new benchmark for measurement accuracy, matching performance and measurement speed. It is a standalone optical wafer metrology system for measuring pre-etch overlay. Using diffraction-based measurements, the YieldStar 500 offers fast monitoring of overlay and focus performance directly on produced wafers with nanometer-level accuracy. E-beam Metrology and Inspection The company’s HMI electron beam (e-beam) solutions allow customers to locate and analyze individual chip defects amid millions of printed patterns, extending the scope for process control. While e-beam solutions were historically too slow to monitor volume production processes, the company has increased the throughput to now uniquely offer e-beam solutions for use during high-volume production, as well as the R&D phase. The R&D phase of chip manufacturing involves extensive testing, validation and fine-tuning to optimize the complete manufacturing process for reliable, high-yield mass production. The company offers two types of solutions to support this stage: E-beam metrology and defect detection to monitor critical dimension and EPE data at resolutions necessary for the implementation of EUV lithography; and single-beam inspection to monitor voltage contrast and physical defects. The company’s ground-breaking multiple e-beam (multibeam) inspection systems operate at throughput speeds that enable them to be used inline during mass production to detect voltage contrast defects and physical defects. The company continues to extend technology leadership in voltage contrast inspection and physical defect inspection with the widely adopted single-beam platform. The HMI eScan 600 is the company’s latest highly flexible e-beam wafer inspection system that can operate in multiple modes, allowing chipmakers to capture the widest variety of defect types in a single system. The company’s high-resolution e-beam metrology system HMI eP5 offers world-class 1 nm resolution with large field-of-view capabilities. It produces critical dimension (CD) and EPE data in high volume with a quality level that customers need for monitoring and control. EPE is becoming more critical for device patterning and yield with shrinking design rules and the adoption of EUV lithography. System and Process Control Software Taking advantage of the huge flexibility of the company’s lithography systems, the company’s system and process control software products enable automated control loops to maintain optimal operation of lithography processes and therefore maximize yield. Using powerful algorithms, they analyze metrology and inspection data and calculate necessary corrections for each individual exposure. This provides a feedback loop to the lithography system to minimize EPE in subsequent wafer lots. The company’s roadmap aims to apply more powerful algorithms with higher-order corrections to enable the company’s customers to continue improving EPE performance. Computational Lithography The company uses computational lithography to predict and enhance the process window of the company’s lithography systems by calculating the optimal settings, depending on the specific application. This takes place in the R&D phase, during the development of new chips to optimize both the reticle patterns and the setup of the lithography system to ensure robust, manufacturable designs that deliver high yields. The company’s computational lithography solutions are based on models of the various physical and chemical processes that influence pattern quality. Advanced algorithms, driven by these models, predict how a designed pattern will appear when printed on a wafer. Based on those predictions, the reticle design and factors within the lithography system can be subtly adjusted to ensure that a chip pattern is printed exactly as intended. Increasingly, the company is using machine-learning techniques to further speed up development of models and to reduce the computational time and cost. Managing the company’s Installed Base System The company’s installed base continues to grow, comprising not only new systems but also refurbished systems with new owners in new markets and applications. To provide all the company’s customers with the best possible value proposition, the company offers an extensive installed base management (IBM) portfolio, including a wide range of service and upgrade options. The company develops and sells product options and enhancements designed to improve throughput, patterning performance and overlay. The company’s field upgrade packages enable customers to optimize their cost of ownership over a system’s lifetime by upgrading older systems to improved models. Supporting the company’s Customers The company is one of the world’s leading manufacturers of chipmaking equipment, while the company’s customers are the world’s leading microchip manufacturers. The company enables them to create the patterns that define the electronic circuits on a chip, and consequently the company’s success is inextricably linked with theirs. The company develops its solutions based on their input, help them achieve their technology and cost roadmaps, and work together, often literally in the same team, to make sure the company’s solutions fit together perfectly. Engaging fully with customers is also an important part of working toward securing the full product portfolio that will sustain the company’s company into the future. As the company’s installed base continues to grow, the company works closely with its customers to develop and sell options and enhancements designed to improve throughput, patterning performance and overlay to optimize the cost of ownership over a system’s lifetime. Building on the company’s Customer Relationships The company markets and sells its products directly to customers, without agencies or other intermediaries. The company’s account managers, field and application engineers, and service and technical support specialists are located close to the company’s customers' operations throughout Asia, the U.S., and Europe, the Middle East and Africa (EMEA). Customer trust is the foundation for the company’s customer relationships. The company’s customers expect the company to have the right means to meet their needs and expectations, consistently deliver upon the promises the company make, be transparent about what the company is doing and fairly share the risks and rewards with them. The company supports its customers with a broad range of applications, services and technical support products to maintain and enhance the company’s systems’ performance. The company’s customer support teams across the world ensure the systems in the company’s customers’ fabs run at the highest levels of predictability and availability. The company offers 24/7 support, next-day parts delivery and an easy-to-use, centralized customer portal. Business Strategy The company’s strategies are to grow the company’s holistic lithography business; secure unique supply chain capabilities to ensure business continuity; move toward adjacent business opportunities; and deliver on the company’s ESG sustainability commitments. Research and Development The company’s research and development costs were €3,980.6 million in 2023. Competition The company competes primarily with Canon and Nikon in respect of DUV systems. The company also competes with providers of applications that support or enhance complex patterning solutions, such as Applied Materials Inc. and KLA-Tencor Corporation. History The company was founded in 1984. It was formerly known as ASM Lithography Holding N.V. and changed its name to ASML Holding N.V. in 2001. The company was incorporated in the Netherlands in 1994.

Country
Industry:
Special Industry Machinery, not elsewhere classified
Founded:
1984
IPO Date:
01/02/1992
ISIN Number:
I_NL0010273215
Address:
De Run 6501, Veldhoven, Noord-Brabant, 5504 DR, Netherlands
Phone Number
31 48 0235 0934

Key Executives

CEO:
Wennink, Peter T. F.
CFO
Dassen, Roger J.
COO:
Schneider-Maunoury, Frederic J.